• A compact functional verification flow for a RISC-V 321 based core 

      Molina Robles, Roberto; Solera Bolanos, Edgar; García Ramírez, Ronny; Chacón Rodríguez, Alfonso; Rimolo Donadio, Renato; Arnaud Maceira, Alfredo (IEEE, 2020)
      The structure of a functional verification flow used for the design of a RISC-V core is presented. The paper offers a guide on the test-planning used and details of the flow architecture, showing how to integrate the ...
    • A RISC-V based medical implantable SOC for high voltage a current tissue stimulus 

      Arnaud Maceira, Alfredo; Gak Szollosy, Joel; García Ramírez, Ronny; Rimolo Donadio, Renato; Miguez De Mori, Matías Rafael; Puyol, Rafael; Solera Bolanos, Edgar; Castro González, Reinaldo; Molina Robles, Roberto; Chacón Rodríguez, Alfonso (IEEE, 2020)
      A RISC-V based System on Chip (SoC) for high voltage and current tissue stimulus, targeting implantable medical devices, is presented. The circuit is designed in a 0.18μm HV-CMOS process, including the RISC-V 32RVI based ...
    • An affordable post-silicon testing framework applied to a RISC-V based microcontroller 

      Molina Robles, Roberto; García Ramírez, Ronny; Chacón Rodríguez, Alfonso; Rimolo Donadio, Renato; Arnaud Maceira, Alfredo (IEEE, 2021-04)
      The RISC-V architecture is a very attractive option for developing application specific systems needing an affordable yet efficient central processing unit. Post-silicon validation on RISC-V applications has been done in ...
    • An asymmetrical bulk-modified composite MOS transistor with enhanced linearity 

      Arnaud Maceira, Alfredo; Chacón Rodríguez, Alfonso; Miguez de Mori, Matías Rafael; Gak Szollosy, Joel; Puyol, Rafael (IEEE, 2019)
      In this work, an asymmetrical bulk-linearized composite MOSFET is presented, with an enhanced linear range and an equivalent saturation voltage of up to several hundred mV even in weak inversion, allowing to implement ...
    • Integrated programmable current source for implantable medical devices 

      Rimolo Donadio, Renato; Chacón Rodríguez, Alfonso; Gak Szollosy, Joel; García Ramírez, Ronny; Miguez de Mori, Matías Rafael; Arnaud Maceira, Alfredo; Hardy, D.; Agis, L.; Nakasone Lanza, Kenji Sebastián (IEEE, 2020)
      In this work, the design and implementation of a 25mA (max), 8 bits integrated programmable current source for implantable medical devices is presented. The proposed circuit includes a six-bits trimming mechanism to balance ...
    • Siwa: A custom RISC-V based system on chip (SOC) for low power medical applications 

      García Ramírez, Ronny; Chacón Rodríguez, Alfonso; Molina Robles, Roberto; Castro González, Reinaldo; Solera Bolanos, Edgar; Madrigal Boza, Gabriel; Oviedo Hernández, Marco; Salazar Sibaja, Diego; Sánchez Jiménez, Dayhana; Fonseca Rodríguez, Melissa; Arrieta Solorzano, Johan; Rimolo Donadio, Renato; Arnaud Maceira, Alfredo; Miguez de Mori, Matías Rafael; Gak Szollosy, Joel (Elsevier, 2020)
      This work introduces the development of Siwa, a RISC-V RV32I 32-bit based core, intended as a flexible control platform for highly integrated implantable biomedical applications, and implemented on a commercial 0.18 𝜇m ...
    • Siwa: a RISC-V RV32I based micro-controller for implantable medical applications 

      García Ramírez, Ronny; Chacón Rodríguez, Alfonso; Castro González, Reinaldo; Arnaud Maceira, Alfredo; Miguez de Mori, Matías Rafael; Gak Szollosy, Joel; Molina Robles, Roberto; Madrigal Boza, Gabriel; Oviedo Hernández, Marco; Solera Bolanos, Edgar; Salazar Sibaja, Diego; Sánchez Jiménez, Dayhana; Fonseca Rodríguez, Melissa; Arrieta Solorzano, Johan; Rimolo Donadio, Renato (IEEE, 2020)
      The design of Siwa1, a compact low power custom system on chip (SoC), targeted for implantable/wearable applications, is reported in this paper. Siwa is based on a RISC-V RV32I architecture. It has a centrally controlled ...